2011-12-10 22 views
5

Estoy tratando de cargar valores de un archivo en una matriz de dos dimensiones como esta.Alternativas a readmemh en Verilog

reg [31:0] RAM[63:0]; 
initial 
     $readmemh("memory.dat",RAM); 

¿Cuáles son las alternativas? Si quería codificar los valores en su lugar, ¿cuál es el código para hacer eso?

Respuesta

5

Si desea codificar los valores, simplemente asignar a cada posición de memoria:

initial begin 
    RAM[0] = 32'h1234_5678; 
    RAM[1] = 32'h9abc_def0; 
    RAM[2] = 32'haaaa_5555; 
    // etc. 
end 

Otra alternativa para $readmemh es el uso de las tareas del sistema IO de archivo, como $fopen y $fscanf (consulte la Norma IEEE o la documentación de tu simulador).